Intel’s Foundry Milestone: Microsoft Partners on 18A Process for Future CPU

Intel has elevated its foundry game by detailing a refined process roadmap at the Foundry Direct Connect event, introducing advanced nodes including the state-of-the-art Intel 14A and its upcoming iterations for the 3 and 18A nodes. Cornerstone to this announcement was the breakthrough partnership with Microsoft, which has chosen Intel’s bleeding-edge 18A process for a CPU project expected to launch in 2025. This deal signals a strong vote of confidence in Intel’s high-tech capabilities and marks a significant step in challenging the dominance of industry giant TSMC in the foundry market. Intel’s strategic moves, punctuated by the collaboration with a tech leader like Microsoft, forecast a vigorous reentry into the competitive arena, aiming to realign the power dynamics in advanced chip manufacturing.

A Strategic Collaboration with Microsoft

The nature of this partnership, while details are sparse, suggests a departure from Microsoft’s traditional CPU solutions, which prominently include AMD’s technology in Xbox SoC designs. The use of Intel’s futuristic 18A node by Microsoft hints at potential use cases in AI within data centers, aligning with the increasing computational demands of modern applications. Intel’s CEO Pat Gelsinger’s relentless push to regain industry leadership by 2025 seems to be materializing through such strategic collaborations. Enlisting a tech titan like Microsoft for a custom silicon project on Intel’s advanced process node is a testament to the industry’s growing confidence in Intel’s foundry capabilities.

Reinforcing Intel’s Foundry Aspirations

Intel is charting a bullish course, adjusting its foundry revenue projection upward from $10 billion to $15 billion. This revision follows the confirmation of three pivotal deals, including one with UMC and other undisclosed partnerships in advanced packaging solutions. As the semiconductor industry contends with capacity crunches, the decision by a significant player like Microsoft to partner with Intel illustrates a dynamic shift in the industry. TSMC’s capacity challenges offer a window of opportunity for Intel to position itself as the alternative or even preferred choice for tech giants looking to secure cutting-edge silicon for future products. The Intel 18A process symbolizes not only a breakthrough for Intel’s comeback under Gelsinger’s leadership but also the broader shifts in semiconductor industry alliances and capacities.

Explore more